[PD-dev] Unconnected signals

Miller Puckette msp at ucsd.edu
Wed Dec 14 18:02:20 CET 2022


Most likely the trouble is that some output signal is rereusing the array of an
input signal and you're overwriting the input by writing to the output before
you've read all the inputs for that sample.  (That's a frequently occurring
problem!)  You can find out by printing out the addresses of the inputs and outputs.
cheers
Miller
On Wed, Dec 14, 2022 at 05:43:49PM +0100, IOhannes m zmölnig wrote:
> On 12/14/22 12:48, David Rush wrote:
> > Maybe this is something I missed in documentation, but how is one meant to
> > tell if a signal inlet is connected or no
> 
> you don't.
> why would you want to do that?
> (as in: most likely you are breaking user expectation by trying to do
> something too clever)
> 
> amds
> IOhannes




> _______________________________________________
> Pd-dev mailing list
> Pd-dev at lists.iem.at
> https://lists.puredata.info/listinfo/pd-dev






More information about the Pd-dev mailing list