[PD-cvs] pd/src desire.tk,1.1.2.600.2.289,1.1.2.600.2.290

Mathieu Bouchard matju at users.sourceforge.net
Mon Aug 6 05:32:07 CEST 2007


Update of /cvsroot/pure-data/pd/src
In directory sc8-pr-cvs1.sourceforge.net:/tmp/cvs-serv17827

Modified Files:
      Tag: desiredata
	desire.tk 
Log Message:
rename @port1, at port2 to @outlet, at inlet


Index: desire.tk
===================================================================
RCS file: /cvsroot/pure-data/pd/src/Attic/desire.tk,v
retrieving revision 1.1.2.600.2.289
retrieving revision 1.1.2.600.2.290
diff -C2 -d -r1.1.2.600.2.289 -r1.1.2.600.2.290
*** desire.tk	6 Aug 2007 02:27:48 -0000	1.1.2.600.2.289
--- desire.tk	6 Aug 2007 03:32:01 -0000	1.1.2.600.2.290
***************
*** 3662,3677 ****
  		}
  		foreach wire [$obj wires2] {
! 			mset {obj1 port1 obj2 port2} [$wire report]
  			switch $type {
  				i {
! 					if {$obj2 == $obj && $port2 == $port} {
  						$self disconnect [$wire connects]
! 						if {!$port2} {lappend @auto_wire_obj1 $obj1}
  					}
  				}
  				o {
! 					if {$obj1 == $obj && $port1 == $port} {
  						$self disconnect [$wire connects]
! 						if {!$port1} {lappend @auto_wire_obj2 $obj2}
  					}
  				}
--- 3662,3677 ----
  		}
  		foreach wire [$obj wires2] {
! 			mset {obj1 outlet obj2 inlet} [$wire report]
  			switch $type {
  				i {
! 					if {$obj2 == $obj && $inlet == $port} {
  						$self disconnect [$wire connects]
! 						if {!$inlet} {lappend @auto_wire_obj1 $obj1}
  					}
  				}
  				o {
! 					if {$obj1 == $obj && $outlet == $port} {
  						$self disconnect [$wire connects]
! 						if {!$outlet} {lappend @auto_wire_obj2 $obj2}
  					}
  				}
***************
*** 3679,3686 ****
  					$self disconnect [$wire connects]
  					if {$obj1 == $obj} {
! 						if {!$port1} {lappend @auto_wire_obj2 $obj2}
  					}
  					if {$obj2 == $obj} {
! 						if {!$port2} {lappend @auto_wire_obj1 $obj1}
  					}
  				}
--- 3679,3686 ----
  					$self disconnect [$wire connects]
  					if {$obj1 == $obj} {
! 						if {!$outlet} {lappend @auto_wire_obj2 $obj2}
  					}
  					if {$obj2 == $obj} {
! 						if {! $inlet} {lappend @auto_wire_obj1 $obj1}
  					}
  				}
***************
*** 4961,4973 ****
  	mset {x msg from outlet to inlet canvas} $mess
  	set @connects [list $from $outlet $to $inlet]
! 	set @port1 $outlet
! 	set @port2 $inlet
  	$self outside_of_the_box
  }
  
  def Wire   from {} {return $@obj1}
! def Wire outlet {} {return $@port1}
  def Wire     to {} {return $@obj2}
! def Wire  inlet {} {return $@port2}
  def Wire move {dx dy} {$self changed}
  
--- 4961,4973 ----
  	mset {x msg from outlet to inlet canvas} $mess
  	set @connects [list $from $outlet $to $inlet]
! 	set @outlet $outlet
! 	set @inlet $inlet
  	$self outside_of_the_box
  }
  
  def Wire   from {} {return $@obj1}
! def Wire outlet {} {return $@outlet}
  def Wire     to {} {return $@obj2}
! def Wire  inlet {} {return $@inlet}
  def Wire move {dx dy} {$self changed}
  
***************
*** 4977,4986 ****
  
  def Wire bbox {} {
! 	set obj1 $@obj1; set port1 $@port1
! 	set obj2 $@obj2; set port2 $@port2
  	set zoom [$@canvas zoom]
  	set c [$@canvas widget]
! 	mset {x1 y1} [lmap / [rect_centre [$c bbox ${obj1}o${port1}]] $zoom]
! 	mset {x2 y2} [lmap / [rect_centre [$c bbox ${obj2}i${port2}]] $zoom]
  	list $x1 $y1 $x2 $y2
  }
--- 4977,4986 ----
  
  def Wire bbox {} {
! 	set obj1 $@obj1; set outlet $@outlet
! 	set obj2 $@obj2; set  inlet  $@inlet
  	set zoom [$@canvas zoom]
  	set c [$@canvas widget]
! 	mset {x1 y1} [lmap / [rect_centre [$c bbox ${obj1}o${outlet}]] $zoom]
! 	mset {x2 y2} [lmap / [rect_centre [$c bbox ${obj2}i${inlet} ]] $zoom]
  	list $x1 $y1 $x2 $y2
  }
***************
*** 4991,4995 ****
  }
  
! def Wire report {} {list $@obj1 $@port1 $@obj2 $@port2}
  def Wire connects {} {return $@connects}
  proc xys {x1 y1 x2 y2} {
--- 4991,4995 ----
  }
  
! def Wire report {} {list $@obj1 $@outlet $@obj2 $@inlet}
  def Wire connects {} {return $@connects}
  proc xys {x1 y1 x2 y2} {
***************
*** 5014,5019 ****
  	set c [$@canvas widget]
  	set iowidth [$@obj1 look iowidth]
! 	mset {ox1 oy1 ox2 oy2} [$@obj1 io_bbox o $@port1]
! 	mset {ix1 iy1 ix2 iy2} [$@obj2 io_bbox i $@port2]
  	set x1 [expr ($ox1+$ox2)/2.0]; set y1 $oy2
  	set x2 [expr ($ix1+$ix2)/2.0]; set y2 $iy1
--- 5014,5019 ----
  	set c [$@canvas widget]
  	set iowidth [$@obj1 look iowidth]
! 	mset {ox1 oy1 ox2 oy2} [$@obj1 io_bbox o $@outlet]
! 	mset {ix1 iy1 ix2 iy2} [$@obj2 io_bbox i $@inlet]
  	set x1 [expr ($ox1+$ox2)/2.0]; set y1 $oy2
  	set x2 [expr ($ix1+$ix2)/2.0]; set y2 $iy1
***************
*** 8371,8380 ****
  	if {$selcanvas == ""} {
  		list #X connect \
! 		    [$@canvas index $@obj1] $@port1 \
! 		    [$@canvas index $@obj2] $@port2
  	} {
  		list #X connect \
! 		    $::obj_index_sel($@canvas:$@obj1) $@port1 \
! 		    $::obj_index_sel($@canvas:$@obj2) $@port2
  	}
  }
--- 8371,8380 ----
  	if {$selcanvas == ""} {
  		list #X connect \
! 		    [$@canvas index $@obj1] $@outlet \
! 		    [$@canvas index $@obj2] $@inlet
  	} {
  		list #X connect \
! 		    $::obj_index_sel($@canvas:$@obj1) $@outlet \
! 		    $::obj_index_sel($@canvas:$@obj2) $@inlet
  	}
  }
***************
*** 8519,8523 ****
  	puts "$nodes"
  	foreach wire $@wires {
! 		mset {obj1 port1 obj2 port2}  [$wire report]
  		set n1  [lindex $nodes [expr [lsearch $nodes $obj1]-1]]
  		set n2  [lindex $nodes [expr [lsearch $nodes $obj2]-1]]
--- 8519,8523 ----
  	puts "$nodes"
  	foreach wire $@wires {
! 		mset {obj1 outlet obj2 inlet}  [$wire report]
  		set n1  [lindex $nodes [expr [lsearch $nodes $obj1]-1]]
  		set n2  [lindex $nodes [expr [lsearch $nodes $obj2]-1]]





More information about the Pd-cvs mailing list