[PD] select backward compatibility

IOhannes m zmoelnig zmoelnig at iem.at
Thu Sep 6 09:22:16 CEST 2007


Roman Haefeli wrote:
>
> 
> inlet: expected 'signal' but got 'list'
> 
> the statement, that it expected 'signal' is false, because it obviously
> also accepts floats.
> 

but then i find it easier to find a conversion from a single number 
(float) into a fixed sized number-list (signal) than a conversion from 
an arbitrary sized list (list) into a fixed sized number-list (signal).

the former is just a constant signal whereas the latter is: a transition?

personally i would have preferred no implicit float->signal at all (use 
[sig~] for that. but who knows this object nowadays?).
it gives confusion in constructs like

            [loadbang]
            |
[sig~ 100] [30(
|          |
+----------+
|
[osc~]
|

does the oscillator run at 100, 30, or 130 Hz?



mga.
IOhannes




More information about the Pd-list mailing list