[PD] allpass~ Max5 doc

Fred Jan Kraan fjkraan at xs4all.nl
Tue Dec 16 18:58:18 CET 2014


Hi Alexandre,

> seems like a mistake
> 
> I don't have Max 5, but in Max 6 it's pretty clear it's only 3, and in
> cyclone, for what I see, i'ts also only 3, right?

Yes, the object code only uses three arguments.
> 
> I've seen some typos and misleading things in Max's documentation
> before, it can happen.

>From a PM I understand the typo is fixed in the Max 6 documentation.
> 
> cheers

Greetings,

Fred Jan
> 
> 2014-12-15 18:26 GMT-02:00 Fred Jan Kraan <fjkraan at xs4all.nl
> <mailto:fjkraan at xs4all.nl>>:
> 
>     Hi all,
> 
>     Checking the number of argumens allpass~ should have, I find an
>     "unclarity" in the Max5 reference doc. It states:
> 
>     "Up to four numbers, to set the maximum delay time and initial values
>     for the delay time D and gain coefficient g."
> 
>     What I read here is saying there are four, but the description mentions
>     only three. The rest of the description gives the impression there are
>     three parameters, one to be set as argument, the other two either as
>     argument, float or signal.
> 
>     Maybe someone with better command of the English language can say if
>     there is a probably typo here or that I don't grok it at all.
> 
>     Thanks,
> 
>     Fred Jan
> 
>     _______________________________________________
>     Pd-list at lists.iem.at <mailto:Pd-list at lists.iem.at> mailing list
>     UNSUBSCRIBE and account-management ->
>     http://lists.puredata.info/listinfo/pd-list
> 




More information about the Pd-list mailing list